<?php echo _title;?> www.prochazka.zde.cz
www.ccsinfo.com/CEH
Server si právě čte 13 lidí, dnes je pátek, 19. Duben 2024   
Kategorie: PIC, Xilinx, HW

Vyvojová deska PIC 16F877A + XILINX XC9572XL nebo XC95144XL

icons pic Jednoduchý vývojový nebo cvičný kit. Obsahuje jak hradlové pole xilinx, tak i jednočipový procesor PIC. Hodí se nejen pro první kroky s obvody PIC a XILINX ale také jako univerzální vývojové železo pro nesčetné aplikace...

Jedná se o jednoduchý výcvikový kit s jednočipovým procesorem PIC16F877A a hradlovým polem XILINX XC9572XL.

Základní vlastnosti:

  • Jedno napájecí napětí od 8V do 16V (AC a DC)
  • Programování PICu pomoci ICSP konektoru (K2)
  • Programování XILINXu pomocí JTAG konektoru (K1)
  • LCD display
  • LED display
  • 10 tlačítek
  • 8 LED diod
  • 8 přepínačů
  • Jedno tlačítko RESET
  • Přepínač RUN/PGM
  • Konektory pro externí rozšíření

Popis zapojení vývojové desky:

K stabilizaci napájecího napětí pro hradlové pole slouží obvod IC4. To je napájeno napětím 3.3V. Jako hradlové pole je použit levný a lehce dostupný obvod XC9572XL v pouzdře TQ100, obvod lze zakoupit i v GM a stoji něco kolem 200 Kč. Ale lze použít i XC95144XL taktéž v pouzdře TQ100. Na DPS se nic nemění. Oba obvody jsou si vývodově kompatibilní. Ale ten už si musíte objednat od firmy ASIX.

K hradlovému poli je připojeno:

  • 8 žlutých tlačítek, které jsou zapojeny v multiplexním provozu. Tato malá klávesnice je organizovaná na dva řádky a čtyři sloupce.
  • Dvě tlačítka (jedno zelené a jedno modré), které jsou připojeny jako prostý spínač.
  • 8 LED diod, pro jakoukoliv indikaci.
  • 8 přepínačů.
  • Čtyř místní LED display, který je zapojen pro multiplexní provoz.
  • Univerzální komunikační zbernice (DATA0 až DATA7) pro propojení PICu a XILINXu.
  • Externí konektor CON2. Kde se dá připojit cokoliv je potřeba :-). Konektor Obsahuje signál RESET a globální vstup pro externí hodiny (OSC_CPLD). Tak i napájecí napětí 3.3V. A dvacet univerzálních vstupu/výstupu.

Stabilizator IO1 napájí PIC procesor, LCD display a resetovaní obvod napětím 5V. PIC procesor je umístěn v PLCC patici. Přepínač RUN/PGM slouží v poloze PGM pro programování obvodu přes ICSP (K2) konektor a v poloze RUN pro spuštění aplikace.

K Procesoru PIC je připojeno:

  • LCD display kompatibilní s řadičem HD44780, zapojen pro čtyř bitovou komunikaci.
  • Konektor CON1 -> PWM výstup, který je oddelen pomocí IO IC3, nebo vstup komparátoru, případně univerzální digitální vstup/výstup. Funkce vývodu se určuje v programu pro PIC. Propojkami JP2 a JP3 se určuje zda vývod bude oddelen pomocí IC3. Toho se dá s výhodou použít nejen pro PWM řízeni.
  • Konektor CON3 -> Analogový vstupy. Vstupy nejsou nikterak ošetřený! To je potreba udělat až v konkrétní aplikaci. To potom ale dovoluje tyto analogové vstupy použit i jako obyčejné digitalni vstupy/výstupy. Pátý analogový vstup se krije se signálem pro SPI zbernici. A pomocí propojky JP1 si můžete určit zda tento vývod bude přítomný na konektoru CON3 nebo CON4.
  • Konektor CON4 -> Je určen pro sériovou komunikaci po zbernici typu I2C nebo SPI. A nebo taky jako univerzalni digitalni vstupní/výstupní pin. Zde je ještě přítomný signál RESET.
  • Konektor CON5 -> Je sériový komunikační port USART nebo univerzální digitální vstup/výstup. Vývojová deska PIC+XILINX se dá přes tento konektor také napájet.

Ostatní obvody:

Hlavní napájecí konektor: Sem můžete přivést napájecí napětí od 8-mi do 16 voltů, AC nebo DC.
Oscilátor: jde o programovatelný oscilator EPSON od firmy www.spezial.cz a označení pro výrobu je: SG8002CASHB 20.000MHz.

Oživení:

Před zapnutím napájecího napětí je potřeba proletovat propojky SJ1, SJ3 a SJ4.

Programování PIC procesoru:

K tomuto účelu se použije konektor K2 a jako programátor používám zařízení od ASIXu: PICCOLO . Při programování je potřeba přepínač RUN/PGM přepnout na PGM a odpojit napájecí napětí vývojové desky. Jako vývojové prostředí lze použít MPLAB a bud programovat v assembleru nebo použít třeba HI-TECH PICC céčko.

Programování XILINX obvodu:

K tomuto účelu se použije konektor K1. A jako programátor použijte downloader, který se nachází na mém webu. Při programování musí bejt připojeno napájecí napětí k vývojové desky. Jednak se napájí z ní samotné hradlové pole tak i downloader. Přepínač RUN/PGM muže bejt v libovolné poloze. Po naprogramování hradlového pole je potřeba stisknou tlačítko RESET aby se obvod správně inicializoval. To plati i při programování PIC procesoru. Jako vývojové prostředí lze použít WebPack.

Schéma zapojení:

vyvojova_deska

Stáhnout schéma zapojení v PDF souboru: vyvojova_deska_pic+cpld.pdf

Stáhnout zdrojáky pro eagle 6.1. :vyvojova deska_pic+cpld_.zip

Literatura:

Kniha v českém jazyce pro mikrokontrolér PIC16F877A.
Datasheed PIC16F887A.
Datasheed XC9572XL.
Datasheed XC95144XL.
Popis jazyka VHDL a spustu dalších užitečných informaci o hradlových polí XILINX najdete na www.prochazka.zde.cz.

Obsah PIC a XILINX obvodu:
PopisLCDLED DLEDTlačítkaPřepínačeVýstupyVstupyPICXILINX
Obsluha klavesnice v multiplexním provozu
Mírně modifikovaný první příklad klavesnice_1.
Na LCD display se vypisují různé texty.

Popis: stručný popis programu.
LCD: pokud je zelená fajfka tak je v tomto programu použit LCD display.
LED D: znamená využití LED displaye zapojeného do multiplexního provozu.
LED: využití LED diod pro jakoukoliv indikaci.
Tlačítka: použití jakéhokoliv tlačítka (žluta tlačítka, modré nebo zelené tlačítko).
Přepínače: v programu je použito nějakého přepínače.
Výstupy: program používá jakýkoliv konektor pro výstup informací.
Vstupy: je použito vstupních informací pro ovlivnění programu.
PIC: program je určen pro PIC 16F877A
XILINX: program je určen pro hradlové pole. Vývojovou desku jsem osadil obvodem XC95144XL.

Seznam součástek:

Většinu součástek jsem zakoupil v GM a také je použito jejich značení některých speciálních součástek...

odpor SMD 1206
1k … 5x
10K … 7x
33k … 1x
47k … 2x
330R … 8x
100R ...8x
100K … 1x

odporový trimr na ležato 10,3mm: 25K … 1x

metalizovaný odpor miniaturni 0.4W vel. 0204:
680 … 1x
390 … 1x

odporová sit varianta A
RRA4 10K 1x ---> 5.vývodu
RRA8 10K 2x ---> 9.vývodu

kondenzátory:
2.2uF/50V … 1x na stojato
100uF/16V … 1x na stojato
220uF/15V … 2x na stojato
1000uF/35 … 1x na stojato

kondenzátor – keramika: 100nF roztec vývodu 2,5 mm ...3x

kondenzátor – SMD 1206: 100nF … 8x

diody
BAT 49 … 1x
1N4148 … 1x
1N4148 -SMD … 9x

usměrňovací můstek: B380C1500 ...1x

tranzistor- SMD: BC807-25 SMD ...4x

stab:
7805 v TO220 ...1x
LM317 v TO 220 ...1x

distanční sloupky:
DA5M3X10 ...4x
DI5M3X15 … 4x
DI5M3X20 … 4x

chladič: DO2A … 2x

přepínače DIP: DIP 8X … 1x

posuvný přepínač: P-SS22F12 ...1x

Spojovací materiál:
Sroubky M3x10 ...10x
Podložka vějířová ...10x
Matice M3 ...4x

tlačítka:
P-B1715 RED ...1x červená barva
P-B1715 BLUE ...1x modrá barva
P-B1715 GREE … 1x zelená barva
P-B1715 YELL … 8x žlutá barva

konektor MLW + PSH + další
MLW10 ...3x
MLW14 … 1x
MLW 50 … 1x
PSH02-06P ... 1x
PSH02-09P ...1x
ASS13520G … 1x Na LCD display
BL815G … 1x Do DPS na LCD display

IO SMD
74F04D ...1x
74LS125D ...1x
XC9572XL TQ100 … 1x nebo (XC95144XL TQ100 - asix.cz)

IO
PIC16F87 PLCC-44 … 1x

Patice PLCC: PLCC44Z ...1x

NAPAJECI KONEKTOR SCD-016 … 1x

LED diody SMD 0805 barvy dle vkusu (zelené) … 8x

Jumper ...3x modré barvy

Oscilátor: Objednat od: www.spezial.cz
SG8002CASHB 20.000

LCD displej MC1602E-xxx nebo jakýkoliv, který bude mejt rozmery 84 x 44 x jedno mm

Hotový výrobek...

vyvoj_1

Zde je vidět jak je LCD display propojený pomocí konektorů: ASS13520G a BL815G.

vyvoj_2
vyvoj_3

Na DPS je potřeba malinká úpravička: přivést napájecí napětí na oscilátor.

oscilator_vyvoj

Toto je chladič určený pro nalepení na paměti v PC. Ale z výhodou jsem toho využil pro hradlové pole XILINX.

chladic_vyvoj

print Formát pro tisk

Komentáře rss

Přidat komentář >

Nebyly přidány žádné komentáře.

Všechny informace jsou zahrnuty pod GPL licenci, pokud není explicitně uveden jiný typ licence.
Používání těchto stránek ke komerčním účelům lze jen se souhlasem autora.
Všechna práva vyhrazena (c) 1997 - 2024 hacesoft.
Jste návštevník číslo: 370743
Celkem zobrazeno stránek: 12325538
Přihlásit do administrace