<?php echo _title;?> www.prochazka.zde.cz
www.ccsinfo.com/CEH
Server si právě čte 21 lidí, dnes je sobota, 20. Duben 2024   
Kategorie: Knihovnička, Xilinx

XILINX - hradlové pole

Každý začátek je velice těžký, pokud jsou k dispozici patřičné informace a nastroje, tak to lze počáteční problémy lehce a snadno vyřešit. Zde se dozvíte kde se dá potřebný SW stáhnout (je free), kde je nějaký popis VHDL jazyka, čím nesoukat už vytvořený program do hradlového pole a kde koupit xilinx hradlová pole. Tip: některé CPLD obvody už nabízí www.gme.cz .

Software:

Pro tvorbu návrhu, simulace používám program WebPack, která se dá stáhnout na www.xilinx.com/ise/logic_design_prod/webpack.htm. Všechny informace se vztahují k verzi programu ISE WebPACK 5.1i. Pro stažení z Internetu se musíte nejprve registrovat. Za program a registraci nic neplatíte. Před samotným stahovaní si určíte zda chcete navrhovat jen CPLD obvody nebo jen FPGA případně obojí.
Pro otestovaní návrhu si stáhněte ModelSim XE. I zde máte na výber pouze CPLD obvody nebo všechny. Program požaduje licenci, po zaregistrování Vám přijde emailem.
Oba programy se neustále zdokonalují, zde se můžete podívat jaké opravy a aktualizace existují.

WebPack podporuje následující řady obvodu:

  • Všechny verze 9500 CPLD, 9500XL CPLD a 9500XV CPLD
  • Spartan2
  • VirtexE a Virtex2
  • Xilinx 22V10
  • XPLA 3.3V CPLD, XPLA 5V CPLD, XPLA2 CPLD a XLPA3 CPLD

Označení XL značí napájecí napětí 3.3V. Série obvodů XPLA jsou původně od firmy PHILIPS.
Domovská stránka výrobce XILINX obvodu je www.xilinx.com.
Obvody s označením CPLD, malí pro uchování popisu (programu) obvodu použitou paměť EEPROM. Ostatní obvody používají paměť SRAM. U těchto obvodů se musí zabezpečit přesun dat z externího zdroje, po zapnutí napájecího napětí. Buďto použijte speciální, pro tyto obvody vytvořené sériové paměti ROM nebo EEPROM, po zapnutí automaticky překopírují vnitřní obsah do obvodu. Nebo obsah obvodu lze překopírovat například při startování počítače. Tím odpadnou speciální a drahé sériové paměti. Tyto postupy se používají jen když vytváříme aplikaci, nebo výrobek bude v malém nákladu. Při obrovském množství obvodu se programují přímo při výrobě. Tam lze určit i typ pouzdra a počet vývodů.

Pro popis daného obvodu můžete použít různé programovací jazyky nebo styly:

  • VHDL
  • Verilog
  • ABEL
  • State Diagram
  • Schéma

Takto vypadá program: WebPack

webpack

Takto vypadá program: ModelSim

model_sim

Kde koupit:

Obvody se dají objednat na dobírku už od jednoho kusu na www.asix.cz.

Čím programovat:

Pro napsáni kódu a zkompilováni je ještě potřeba nějak dostat obsah do samotného hradlového pole. Na to použijte jednoduchý downloader.

Jazyk VHDL, ABEL a popis struktury CPLD:

  • Popis architektury CPLD XC9500 v češtině.
  • Stručný popis jazyka abel.pdf, je v Angličtině.
  • Stručný popis jazyka VHDL, přeložený do českého jazyka.
  • Druhý díl popisu VHDL jazyka v češtině.
  • Třetí díl popisu VHDL aneb příklad zapojení multiplexní klávesnice.
  • Popis příkazů jazyka VHDL.
  • Příklady v jazyce VHDL.
  • Demo s IO XILINX XC9536 v pouzdru 15PC44C.
  • Vývojová deska PIC + XILINX s obvody PIC16F877A a XC9572XL nebo XC95144XL.

Následující obrázek ukazuje zapojeni downloaderu a zapojení blikače/sčítače na nepájivém kontaktním poli.

bastl_deska

print Formát pro tisk

Komentáře rss

Přidat komentář >

Nebyly přidány žádné komentáře.

Všechny informace jsou zahrnuty pod GPL licenci, pokud není explicitně uveden jiný typ licence.
Používání těchto stránek ke komerčním účelům lze jen se souhlasem autora.
Všechna práva vyhrazena (c) 1997 - 2024 hacesoft.
Jste návštevník číslo: 370796
Celkem zobrazeno stránek: 12325618
Přihlásit do administrace